Delay calculation

Delay calculation is the term used in integrated circuit design for the calculation of the gate delay of a single logic gate and the wires attached to it. By contrast, static timing analysis computes the delays of entire paths, using delay calculation to determine the delay of each gate and wire.

There are many methods used for delay calculation for the gate itself. The choice depends primarily on the speed and accuracy required:

  • Circuit simulators such as SPICE may be used. This is the most accurate, but slowest, method.
  • Two dimensional tables[1] are commonly used in applications such as logic synthesis, placement and routing. These tables take an output load and input slope and generate a circuit delay and output slope. The values of the tables are usually computed using circuit simulators in a procedure referred to as characterization or standard cell characterization. A common file format for storing the lookup tables is the Liberty[2][3] format.
  • A very simple model called the K-factor model is sometimes used. This approximates the delay as a constant plus k times the load capacitance.
  • A more complex model called Delay Calculation Language,[4] or DCL, calls a user-defined program whenever a delay value is required. This allows arbitrarily complex models to be represented, but raises significant software engineering issues.
  • Logical effort provides a simple delay calculation that accounts for gate sizing and is analytically tractable.

Similarly, there are many ways to calculate the delay of a wire. The delay of a wire will normally be different for each destination. In order to increase accuracy (and decrease speed), the most common methods are:

  • Lumped C. The entire wire capacitance is applied to the gate output, and the delay through the wire itself is ignored.
  • Elmore delay[5] is a simple approximation, often used where speed of calculation is important but the delay through the wire itself cannot be ignored. It uses the R and C values of the wire segments in a simple calculation. The delay of each wire segment is the R of that segment times the downstream C. Then all delays are summed from the root. (This assumes the network is tree-structured, true of most nets in chips. In this case, the Elmore delay can be calculated in time O(N) with two tree traversals. If the network is not tree-structured the Elmore delay can still be computed, but involves matrix calculations.[6])
  • Moment matching is a more sophisticated analytical method. It can be thought of as either matching multiple moments in the time domain or finding a good rational approximation (a Padé approximation) in the frequency domain. (These are very closely related - see Laplace transform.) It can also be considered a generalization of Elmore delay, which matches the first moment in the time domain (or computes a one-pole approximation in the frequency domain - they are equivalent). The first use of this technique, AWE,[7] used explicit moment matching. Newer methods such as PRIMA[8] and PVL use implicit moment matching, based on Krylov subspaces. These methods are slower than Elmore but more accurate. Compared to circuit simulation they are faster but less accurate.
  • Circuit simulators such as SPICE may be used. This is usually the most accurate, but slowest, method.
  • DCL, as defined above, can be used for interconnecting as well as gate delay.

Often, it makes sense to combine the calculation of a gate and all the wires connected to its output. This combination is often called the stage delay.

The delay of a wire or gate may also depend on the behaviour of the nearby components. This is one of the main effects that is analyzed during signal integrity checks.

  1. ^ E.-Y. Chung, B.-H. Joo, Y.-K. Lee, K.-H. Kim and S.-H. Lee, “Advanced delay analysis method for submicron ASIC technology,” in Proc. IEEE 5th Int. ASIC Conf. 1992, pp. 471-474.
  2. ^ "Liberty file format, lecture slides" (PDF). Retrieved 15 December 2022.
  3. ^ "Liberty user guide and reference manual" (PDF). Archived from the original (PDF) on 2022-12-15. Retrieved 15 December 2022.
  4. ^ IEEE standard including DCL
  5. ^ *W. C. Elmore, The Transient Response of Damped Linear Networks with Particular Regard to Wideband Amplifiers , Journal of Applied Physics, January 1948, Volume 19, Issue 1, pp. 55-63.
  6. ^ If each capacitor is replaced by a current source of the same value, and the root grounded, then the DC voltage at each node is numerically equal to the Elmore delay (first time domain moment). This formulation works whether or not the network is tree structured. See the paper on AWE below for more details.
  7. ^ *Pillage, L.T.; Rohrer, R.A., Asymptotic waveform evaluation for timing analysis 
  8. ^ *Odabasioglu, A.; Celik, M.; Pileggi, L.T., PRIMA: passive reduced-order interconnect macromodeling algorithm , IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Volume 17, Issue 8, Aug. 1998, pp. 645 - 654